製品名やキーワードで検索
お電話でのお問い合わせはこちら

東京03-5379-0051 大阪06-6212-2500

名古屋052-686-4794 仙台022-218-0560

製品名やキーワードで検索

FS-1/
マルチスペクトル・エリプソメーター文献

FS-1 マルチスペクトル・エリプソメーター

in situ, ALD

A Three-Step Atomic Layer Deposition Process for Sinx Using Si2Cl6, CH3NH2, and N2 Plasma
Reference: ACS Appl. Mater. Interfaces 2018, 10, 19153−19161 (https://doi.org/10.1021/acsami.8b01392)
Authors: Rafaiel A. Ovanesyan, Dennis M. Hausmann, Sumit Agarwal
Institutions: Colorado School of Mines and Lam Research Corporation

<Abstract>
We report a novel three-step SiNx atomic layer deposition (ALD) process using Si2Cl6, CH3NH2, and N2 plasma. In a two-step process, nonhydrogenated chlorosilanes such as Si2Cl6 with N2 plasmas lead to poor-quality SiNx films that oxidize rapidly. The intermediate CH3NH2 step was therefore introduced in the ALD cycle to replace the NH3 plasma step with a N2 plasma, while using Si2Cl6 as the Si precursor. This three-step process lowers the atomic H content and improves the film conformality on high-aspect-ratio nanostructures as Si–N–Si bonds are formed during a thermal CH3NH2 step in addition to the N2 plasma step. During ALD, the reactive surface sites were monitored using in situ surface infrared spectroscopy. Our infrared spectra show that, on the post-N2 plasma-treated SiNx surface, Si2Cl6 reacts primarily with the surface −NH2 species to form surface −SiClx (x = 1, 2, or 3) bonds, which are the reactive sites during the CH3NH2 cycle. In the N2 plasma step, reactive −NH2 surface species are created because of the surface H available from the −NH3 groups. At 400 °C, the SiNx films have a growth per cycle of ∼0.9 Å with ∼12 atomic percent H. The films grown on high-aspect-ratio nanostructures have a conformality of ∼90%.


Atomic Layer Deposition of SicxNy Using Si2Cl6  and CH3NH2 Plasma
Reference: Chem. Mater. 2017, 29, 6269−6278 (https://doi.org/10.1021/acs.chemmater.7b01358)
Authors: Rafaiel A. Ovanesyan, Noemi Leick, Kathryn M. Kelchner, Dennis M. Hausmann, Sumit Agarwal
Institutions: Colorado School of Mines, Lam Research Corporation

<Abstract>
We developed a novel process for the atomic layer deposition (ALD) of SiCxNy films using a Si2Cl6 and a CH3NH2 plasma. Under self-limiting growth conditions, this ALD process led to SiCxNy films with up to 9 atomic percent carbon with a conformality >95% in 5:1 aspect ratio nanostructures. The surface reactions during ALD, and in particular the carbon incorporation mechanism, were studied using in situ attenuated total reflection Fourier transform infrared spectroscopy. Similar to the Si2Cl6 and NH3 plasma-based process, we show that on the SiCxNy growth surface, Si2Cl6 reacts primarily with surface −NH2 species that were created after the CH3NH2 plasma cycle. During the subsequent CH3NH2 half cycle, the surface chlorine was liberated, creating −NHx (x = 1 or 2) groups, while carbon was incorporated primarily as −N═C═N– species. In situ ellipsometry showed that the growth per cycle and the refractive index were ∼1 Å and ∼1.85, respectively. Elemental depth profiling with secondary ion mass spectrometry showed that, as the plasma power was increased from 50 to 100 W, the carbon atomic fraction increased from ∼4 to ∼9%. At higher plasma powers, the CH3NH2 plasma half cycle was not self-limiting and led to continuous carbon nitride growth.


Surface Phenomena During Plasma-Assisted Atomic Layer Etching of Sio2
Reference: ACS Appl. Mater. Interfaces 2017, 9, 31067−31075 (https://doi.org/10.1021/acsami.7b08234)
Authors: Ryan J. Gasvoda, Alex W. van de Steeg, Ranadeep Bhowmick, Eric A. Hudson, Sumit Agarwal
Institutions: Colorado School of Mines, Eindhoven University of Technology, Lam Research Corporation

<Abstract>
Surface phenomena during atomic layer etching (ALE) of Sio2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CFx) film deposition and Ar plasma activation of the CFx film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CFx deposition half-cycle from a C4F8/Ar plasma show that an atomically thin mixing layer is formed between the deposited CFx layer and the underlying Sio2 film. Etching during the Ar plasma cycle is activated by Ar+ bombardment of the CFx layer, which results in the simultaneous removal of surface CFx and the underlying Sio2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CFx deposition, which combined with an ultrathin CFx layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CFx film, ∼3–4 Å of Sio2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CFx layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CFx on reactor walls leads to a gradual increase in the etch per cycle.


Electron-Enhanced Atomic Layer Deposition of Silicon Thin Films at Room Temperature
Reference: J. Vac. Sci. Technol. A 36(1), Jan/Feb 2018 (https://doi.org/10.1116/1.5006696)
Authors: Jaclyn K. Sprenger, Huaxing Sun, Andrew S. Cavanagh, Steven M. George
Institution: University of Colorado, Boulder

<Abstract>
Silicon thin films were deposited at room temperature with electron-enhanced atomic layer deposition (EE-ALD) using sequential exposures of disilane (Si2H6) and electrons. EE-ALD promotes silicon film growth through hydrogen electron stimulated desorption (ESD) that creates reactive dangling bonds and facilitates Si2H6 adsorption at low temperatures. Without hydrogen ESD, silicon growth relies on thermal pathways for H2 desorption and dangling bond formation at much higher temperatures. An electron flood gun was utilized to deposit Si films over areas of ∼1 cm2 on oxide-capped Si(111) substrates. The silicon film thickness was monitored in situ with a multiwavelength ellipsometer. A threshold electron energy of ∼25 eV was observed for the Si film growth. A maximum growth rate of ∼0.3 Å/cycle was measured at electron energies of 100–150 eV. This growth rate is close to the anticipated growth rate assuming dissociative Si2H6 adsorption on dangling bonds on representative single-crystal silicon surfaces. The Si growth rate also displayed self-limiting behavior as expected for an ALD process. The silicon growth rate was self-limiting at larger Si2H6 pressures for a fixed exposure time and at longer electron exposure times. The silicon growth rate versus electron exposure time yielded a hydrogen ESD cross section of σ = 5.8 × 10−17 cm2. Ex situ spectroscopic ellipsometry showed good conformality in thickness across the ∼1 cm2 area of the Si film. Si EE-ALD should be useful for a variety of applications.


Electron-Enhanced Atomic Layer Deposition of Boron Nitride Thin Films at Room Temperature and 100 °C
Reference: J. Phys. Chem. C 2018, 122, 9455−9464 (https://doi.org/10.1021/acs.jpcc.8b00796)
Authors: Jaclyn K. Sprenger, Huaxing Sun, Andrew S. Cavanagh, Alexana Roshko, Paul T. Blanchard, Steven M. George
Institutions: University of Colorado, Boulder, National Institute of Standards and Technology, Boulder, Colorado

<Abstract>
Electron-enhanced atomic layer deposition (EE-ALD) was used to deposit boron nitride (BN) thin films at room temperature and 100 °C using sequential exposures of borazine (B3N3H6) and electrons. Electron-stimulated desorption (ESD) of hydrogen surface species and the corresponding creation of reactive dangling bonds are believed to facilitate borazine adsorption and reduce the temperature required for BN film deposition. In situ ellipsometry measurements showed that the BN film thickness increased linearly versus the number of EE-ALD cycles at room temperature. Maximum growth rates of ∼3.2 Å/cycle were measured at electron energies of 80–160 eV. BN film growth was self-limiting versus borazine and electron exposures, as expected for an ALD process. The calculated average hydrogen ESD cross section was σ = 4.2 × 10–17 cm2. Ex situ spectroscopic ellipsometry measurements across the ∼1 cm2 area of the BN film defined by the electron beam displayed good uniformity in thickness. Ex situ X-ray photoelectron spectroscopy and in situ Auger spectroscopy revealed high purity, slightly boron-rich BN films with C and O impurity levels <3 at. %. High-resolution transmission electron microscopy (HR-TEM) imaging revealed polycrystalline hexagonal and turbostratic BN with the basal planes approximately parallel to the substrate surface. Ex situ grazing incidence X-ray diffraction measurements observed peaks consistent with hexagonal BN with domain sizes of 1–2 nm. The BN EE-ALD growth rate of ∼3.2 Å/cycle is close to the distance of 3.3 Å between BN planes in hexagonal BN. The growth rate and HR-TEM images suggest that approximately one monolayer of BN is deposited for every BN EE-ALD cycle. TEM and scanning TEM/electron energy loss spectroscopy measurements of BN EE-ALD on trenched wafers also showed preferential BN EE-ALD on the horizontal surfaces. This selective deposition on the horizontal surfaces suggests that EE-ALD may enable bottom-up filling of vias and trenches.


Electron Enhanced Growth of Crystalline Gallium Nitride Thin Films at Room Temperature and 100 °C Using Sequential Surface Reactions
Reference: Chem. Mater. 2016, 28, 5282−5294 (https://doi.org/10.1021/acs.chemmater.6b00676)
Authors: Jaclyn K. Sprenger, Andrew S. Cavanagh, Huaxing Sun, Kathryn J. Wahl, Alexana Roshko, Steven M. George
Institutions: University of Colorado, Boulder, Naval Research Laboratory, National Institute of Standards and Technology, Boulder, Colorado

<Abstract>
Low energy electrons may provide mechanisms to enhance thin film growth at low temperatures. As a proof of concept, this work demonstrated the deposition of gallium nitride (GaN) films over areas of ∼5 cm2 at room temperature and 100 °C using electrons with a low energy of 50 eV from an electron flood gun. The GaN films were deposited on Si(111) wafers using a cycle of reactions similar to the sequence employed for GaN atomic layer deposition (ALD). Trimethylgallium (Ga(NH3)3, TMG), hydrogen (H) radicals and ammonia (NH3) were employed as the reactants with electron exposures included in the reaction cycle after the TMG/H and NH3 exposures. A number of ex situ techniques were then employed to analyze the GaN films. Spectroscopic ellipsometry measurements revealed that the GaN films grew linearly with the number of reaction cycles. Linear growth rates of up to 1.3 Å/cycle were obtained from the surface areas receiving the highest electron fluxes. Grazing incidence X-ray diffraction analysis revealed polycrystalline GaN films with the wurtzite crystal structure. Transmission electron microscopy (TEM) images showed crystalline grains with diameters between 2 and 10 nm depending on the growth temperature. X-ray photoelectron spectroscopy depth-profiling displayed no oxygen contamination when the GaN films were capped with Al prior to atmospheric exposure. However, the carbon concentrations in the GaN films were 10–35 at. %. The mechanism for the low temperature GaN growth is believed to result from the electron stimulated desorption (ESD) of hydrogen. Hydrogen ESD yields dangling bonds that facilitate Ga–N bond formation. Mass spectrometry measurements performed concurrently with the reaction cycles revealed increases in the pressure of H2 and various GaN etch products during the electron beam exposures. The amount of H2 and GaN etch products increased with electron beam energy from 25 to 200 eV. These results indicate that the GaN growth occurs with competing GaN etching during the reaction cycles.


Topographically Selective Deposition
Reference: Appl. Phys. Lett. 114, 043101 (2019) (https://doi.org/10.1063/1.5065801)
Authors: A. Chaker, C. Vallee, V. Pesce, S. Belahcen, R. Vallat, R. Gassilloud, N. Posseme, M. Bonvalot, A. Bsiesy
Institutions: University Grenoble Alpes, CEA, LETI, Minatec Campus

<Abstract>
In this paper, we present a topographically Selective Deposition process which allows the vertical only coating of three-dimensional (3D) nano-structures. This process is based on the alternate use of plasma enhanced atomic layer deposition (PEALD) and sputtering carried out in a PEALD reactor equipped with a radio-frequency substrate biasing kit. A so-called super-cycle has been conceived, which consists of 100 standard deposition cycles followed by an anisotropic argon sputtering induced by the application of a 13.56 MHz biasing waveform to the substrate holder in the PEALD chamber. This sputtering step removes the deposited material on horizontal surfaces only, and the sequential deposition/etch process allows effective deposition on vertical surfaces only. Thus, it opens up a route for topographically selective deposition, which can be of interest for the fabrication of 3D vertical Metal-Insulator-Metal devices. We acknowledge the financial support of LabEx Minos ANR-10-LABX-55-01 for Ph.D. funding of A.C. and S.B.


Texture and Phase Variation of ALD PbTiO3 Films Crystallized by Rapid Thermal Anneal
Reference: J. Vac. Sci. Technol. A 37(2), Mar/Apr 2019 (https://doi.org/10.1116/1.5080226)
Authors: Nicholas A. Strnad, Daniel M. Potrepka, Jeffrey S. Pulskamp, Yang Liu, Jacob L. Jones, Raymond J. Phaneuf, RonALD G. Polcawich
Institutions: University of Maryland, General Technical Services, LLC, U.S. Army Research Laboratory, North Carolina State University

<Abstract>
PbTiO3 (lead titanate) thin films were deposited by atomic layer deposition (ALD) and crystallized via rapid thermal anneal. The films were grown using lead bis(3-N,N-dimethyl-2-methyl-2-propanoxide) and tetrakis dimethylamino titanium as cation precursors. A combination of H2O and ozone was used as oxidizers. Phase-pure, stoichiometric PbTiO3 was confirmed using x-ray diffraction, Rutherford backscattering spectroscopy, and scanning transmission electron microscopy. Ferroelectric hysteresis loops obtained by patterning circular capacitors with areas of 4.92 × 10−4 cm2 indicate a Pmax = 48 μC/cm2, 2Pr = 60 μC/cm2, Ec1 = −73 kV/cm, Ec2 = 125 kV/cm, and a leakage current density of 15 μA/cm2 at 138 kV/cm. Capacitance versus voltage measurements were used to obtain a maximum dielectric constant of 290 at 85 kV/cm and loss tangent under 4% tested in the range of ±275 kV/cm. ALD PbTiO3 grown with near-ideal cation ratios crystallized into randomly oriented perovskite grains when grown on a sputtered Pt-coated Si substrate. A variation of rapid thermal anneal temperatures, ramp rates, and nucleation layers was investigated and did not have a significant effect on perovskite grain orientation.


Plasma-Enhanced Atomic Layer Deposition of Ruthenium Using Ru(EtCp)2 & O2-Plasma on Platinum
Reference: ALD2018 Poster
Authors: G. B. Rayner, Jr., B. Johs, B. Liu, N. O’Toole, D. M. Potrepka
Institutions: Kurt J. Lesker Company, Film Sense, Pennsylvania State University, U. S. Army Research Laboratory


Investigation of Oxygen Incorporation During Tin PEALD by In-Situ Ellipsometry
Reference: UGIM 2018 Poster
Authors: Bruce Rayner, Noel O’Toole, Blaine Johs
Institutions: Kurt J. Lesker Company, Film Sense

Installation of an Fs-1 In Situ Ellipsometer on An Atomic Layer Deposition (ALD) System. Part 1. Hardware Considerations
Vacuum Technology & Coating Magazine, Jan. 2019, page 32 (https://bt.e-ditionsbyfry.com/publication/?i=556398&ver=html5&p=32)
Authors: Dhruv Shah, Dhananjay I. Patel, Tahereh G. Avval, Nick Allen, Blaine D. Johs, Matthew R. Linford
Institutions: Brigham Young University, Film Sense

Installation of an Fs-1 In Situ Ellipsometer on an Atomic Layer Deposition (ALD) System. Part 2. Software Considerations
Vacuum Technology & Coating Magazine, Feb. 2019, page 33 (https://digital.vtcmag.com/12727/12108/index.html?page=33)
Authors: Dhruv Shah, Dhananjay I. Patel, Tahereh G. Avval, Nick Allen, Blaine D. Johs, Matthew R. Linford
Institutions: Brigham Young University, Film Sense


Real-Time In Situ Ellipsometric Monitoring of Aluminum Nitride Film Growth Via Hollow-Cathode Plasma-Assisted Atomic Layer Deposition
J. Vac. Sci. Technol. A 37(2), Mar/Apr 2019 (https://doi.org/10.1116/1.5085341)
Authors: Adnan Mohammad, Deepa Shukla, Saidjafarzoda Ilhom, Brian Willis, Blaine Johs, Ali Kemal Okyay, Necmi Biyikli
Institutions: University of Connecticut, Film Sense, Stanford University, Okyay Technologies Inc.

<Abstract>
The authors report on the real-time monitoring of self-limiting aluminum nitride growth process by using multiwavelength in situ ellipsometry. Aluminum nitride (AlN) thin films were grown on Si(100) substrates via hollow-cathode plasma-assisted atomic layer deposition (HCPA-ALD) using trimethylaluminum (TMA) and Ar/N2/H2 plasma as metal precursor and coreactant, respectively. Growth saturation experiments within 100–250 °C temperature range were carried out without interruption as extended single runs featuring 10-cycle subruns for each parameter change. The sensitivity of the multiwavelength ellipsometry provided sufficient resolution to observe not only the minuscule changes in the growth-per-cycle (GPC) parameter, but also the single chemical adsorption (chemisorption) and plasma-assisted ligand removal events. GPC values showed a slight increasing slope within 100–200 °C, followed by a stronger surge at 250 °C, signaling the onset of thermal decomposition. The real-time dynamic in situ monitoring revealed mainly the following insights into the HCPA-ALD process of AlN: (i) film growth rate and TMA chemisorption amount exhibited plasma power dependent saturation behavior, which was also correlated with the substrate temperature; (ii) time-dependent refractive index evolution indicated a nonconstant relationship: a faster increase within the first ∼100 cycles followed by a slower increase as the AlN film gets thicker; and (iii) a considerable improvement in crystallinity was observed when the substrate temperature exceeded 200 °C. Besides in situ optical characterization, ex situ optical, structural, and chemical characterization studies were also carried out on 500-cycle grown AlN films as a function of substrate temperature. All AlN samples displayed a single-phase wurtzite polycrystalline character with no detectable carbon and relatively low (<5%) oxygen content within the bulk of the films. Moreover, regardless of the deposition temperature, HCPA-ALD grown AlN films exhibited highly stoichiometric elemental composition.

in situ, Liquid Cell

2D Graphene Oxide Channel for Water Transport
Reference: Faraday Discuss., 2018, 209, 329–340 (https://doi.org/10.1039/c8fd00026c)
Authors: Baoxia Mi, Sunxiang Zheng, Qingsong Tu
Institution: University of California, Berkeley

<Abstract>
Layer-stacked graphene oxide (GO) membranes, in which unique two-dimensional (2D) water channels are formed between two neighboring GO nanosheets, have demonstrated great potential for aqueous phase separation. Subjects of crucial importance are to fundamentally understand the interlayer spacing (i.e. channel height) of GO membranes in an aqueous environment, elucidate the mechanisms for water transport within such 2D channels, and precisely control the interlayer spacing to tune the membrane separation capability for targeted applications. In this investigation, we used an integrated quartz crystal mass balance (QCM-D) and ellipsometry to experimentally monitor the interlayer spacing of GO, reduced GO and crosslinked GO in aqueous solution and found that crosslinking can effectively prevent GO from swelling and precisely control the interlayer spacing. We then used molecular dynamics simulations to study the mass transport inside the 2D channels and proved that the chemical functional groups on the GO plane dramatically slow down water transport in the channels. Our findings on GO structure and water transport provide a necessary basis for further tailoring and optimizing the design and fabrication of GO membranes in various separation applications.


Regenerable Polyelectrolyte Membrane for Ultimate Fouling Control in Forward Osmosis
Reference: Environ. Sci. Technol. 2017, 51, 3242−3249 (https://doi.org/10.1021/acs.est.6b05665)
Authors: Yan Kang, Sunxiang Zheng, Casey Finnerty, Michael J. Lee, Baoxia Mi
Institutions: University of Maryland, University of California, Berkeley

<Abstract>
This study demonstrated the feasibility of using regenerable polyelectrolyte membranes to ultimately control the irreversible membrane fouling in a forward osmosis (FO) process. The regenerable membrane was fabricated by assembling multiple polyethylenimine (PEI) and poly(acrylic acid) (PAA) bilayers on a polydopamine-functionalized polysulfone support. The resulting membrane exhibited higher water flux and lower solute flux in FO mode (with the active layer facing feed solution) than in PRO mode (with the active layer facing draw solution) using trisodium citrate as draw solute, most likely due to the unique swelling behavior of the polyelectrolyte membrane. Membrane regeneration was conducted by first dissembling the existing PEI–PAA bilayers using strong acid and then reassembling fresh PEI–PAA bilayers on the membrane support. It was found that, after the acid treatment, the first covalently bonded PEI layer and some realigned PAA remained on the membrane support, acting as a beneficial barrier that prevented the acid–foulant mixture from penetrating into the porous support during acid treatment. The water and solute flux of the regenerated membrane was very similar to that of the original membrane regardless of alginate fouling, suggesting an ultimate solution to eliminating the irreversible membrane fouling in an FO process. With a procedure similar to the typical membrane cleaning protocol, in situ membrane regeneration is not expected to noticeably increase the membrane operational burden but can satisfactorily avoid the expensive replacement of the entire membrane module after irreversible fouling, thereby hopefully reducing the overall cost of the membrane-based water-treatment system.


Swelling of Graphene Oxide Membranes in Aqueous Solution: Characterization of Interlayer Spacing and Insight into Water Transport Mechanisms
Reference: ACS Nano 2017, 11, 6440−6450 (https://doi.org/10.1021/acsnano.7b02999)
Authors: Sunxiang Zheng, Qingsong Tu, Jeffrey J. Urban, Shaofan Li, Baoxia Mi
Institutions: University of California, Berkeley, Lawrence Berkeley National Laboratory

<Abstract>
Graphene oxide (GO) has recently emerged as a promising 2D nanomaterial to make high-performance membranes for important applications. However, the aqueous-phase separation capability of a layer-stacked GO membrane can be significantly limited by its natural tendency to swell, that is, absorb water into the GO channel and form an enlarged interlayer spacing (d-spacing). In this study, the d-spacing of a GO membrane in an aqueous environment was experimentally characterized using an integrated quartz crystal microbalance with dissipation and ellipsometry. This method can accurately quantify a d-spacing in liquid and well beyond the typical measurement limit of ∼2 nm. Molecular simulations were conducted to fundamentally understand the structure and mobility of water in the GO channel, and a theoretical model was developed to predict the d-spacing. It was found that, as a dry GO membrane was soaked in water, it initially maintained a d-spacing of 0.76 nm, and water molecules in the GO channel formed a semiordered network with a density 30% higher than that of bulk water but 20% lower than that of the rhombus-shaped water network formed in a graphene channel. The corresponding mobility of water in the GO channel was much lower than in the graphene channel, where water exhibited almost the same mobility as in the bulk. As the GO membrane remained in water, its d-spacing increased and reached 6 to 7 nm at equilibrium. In comparison, the d-spacing of a GO membrane in NaCl and Na2SO4 solutions decreased as the ionic strength increased and was ∼2 nm at 100 mM.


Understanding The pH-Responsive Behavior of Graphene Oxide Membrane in Removing Ions and Organic Micropollulants
Reference: Journal of Membrane Science 541 (2017) 235–243 (https://doi.org/10.1016/j.memsci.2017.07.005)
Authors: Yoontaek Oh, Dana L. Armstrong, Casey Finnerty, Sunxiang Zheng, Meng Hu, Alba Torrents, Baoxia Mi
Institutions: University of Maryland, University of California, Berkeley

<Abstract>
This paper reports the systematic performance evaluation and mechanism elucidation of a multi-layered graphene oxide (GO) membrane in removing ionic and organic species from water at different pH levels. The rejections of representative monovalent (Na+, Cl-) and multivalent ions (SO42-, Mg2+) as well as organic dyes (methylene blue, rhodamine-WT) and pharmaceutical and personal care products (triclosan, triclocarban) by the GO membrane were tested in a reverse osmosis membrane system. It was found that, at pH 7, the GO membrane exhibited high removal of multivalent cations/anions and all tested organics, regardless of their charges, sizes, or hydrophobic properties, but low removal of monovalent ions. Such removal behavior is dramatically different from that of traditional nanofiltration membranes, which are often negatively charged and can only remove multivalent ions and organics that also bear negative charges. As pH varied, the key properties (e.g., charge, interlayer spacing) of the GO membrane were significantly changed, leading to different pH-dependent interfacial phenomena and separation mechanisms, and demonstrating the promise of using the GO membrane as a pH-responsive membrane. It was also revealed that the molecular shape of some organics (e.g., triclocarban) greatly affected their removal due to the mobility and thus π-π interaction of such organics with the carbon surface of the GO membrane. Finally, implications for the future development of high-performance GO membranes are discussed.


ex situ, ALD Films

Effect of Growth Temperature on AlN Thin Films Fabricated by Atomic Layer Deposition
Reference: Ceramics International 44 (2018) 17447–17452 (https://doi.org/10.1016/j.ceramint.2018.06.212)
Authors: Yong Kim, Min Soo Kim, Hee Ju Yun, Sung Yeon Ryu, Byung Joon Choi
Institution: Seoul National University of Science and Technology

<Abstract>
Resistive random-access memories (RRAM) have been extensively studied because of their advantages such as low operating voltage, high reliability, and simple structure. Among the various types of materials for RRAMs, such as oxides, nitrides, sulfides, and chalcogenides, AlN shows resistive switching phenomena with low energy and high speed via the formation of Al-rich conducting channels owing to the generation of nitrogen vacancies. Moreover, AlN has a large band gap (~ 6.2 eV), high thermal conductivity, and dielectric constant. Therefore, AlN can find application as a gate dielectric material, functional layer, and resistive switching layer for RRAM applications. In this study, AlN thin film is deposited by thermal atomic layer deposition (ALD), which is a self-limiting technique through ligand exchange between the precursor molecules and surface functional groups. We use trimethylaluminum (TMA) and NH3 as the metal precursor and reaction gas, respectively. We obtain growth rates of 0.05–0.16 nm/cycle at a wafer temperature of 274–335 °C. Structural and chemical properties of the AlN films grown at various temperatures are investigated by X-ray diffraction, Auger electron spectroscopy, and X-ray photoelectron spectroscopy. The electrical properties of these AlN films are studied by fabricating the devices having an Al/AlN/Pt stack.


Characteristics of Atomic Layer Deposited Gd2O3  on N-GaN with an AIN Layer
Reference: RSC Adv., 2018, 8, 42390 (https://doi.org/10.1039/c8ra09708a)
Authors: Hogyoung Kim, Hee Ju Yun, Byung Joon Choi
Institution: Seoul National University of Science and Technology

<Abstract>
The interfacial and electrical properties of atomic layer deposited Gd2O3 with an AlN layer on n-GaN were investigated. According to X-ray photoelectron spectroscopy spectra, the formation of Ga–O bonds that is significant near the Gd2O3/GaN interface was suppressed near the AlN/Gd2O3/GaN and Gd2O3/AlN/GaN interfaces. Larger amounts of oxygen atoms across the dielectric layers were observed for AlN/Gd2O3/GaN and Gd2O3/AlN/GaN junctions, which in turn produced the dominant peak corresponding to O–Al bonds. The flatband voltage shift in capacitance–voltage hysteresis characteristics was highest for the Gd2O3/AlN/GaN junction, indicating the highest interface and oxide trap densities. In addition, AlN/Gd2O3/GaN and Gd2O3/AlN/GaN junctions showed the highest interface state densities in the energy ranges of 0.1–0.2 eV and 0.4–0.6 eV, respectively. The reverse leakage currents were explained by Fowler–Nordheim (FN) for Gd2O3/GaN and AlN/Gd2O3/GaN junctions and by trap assisted tunneling (TAT) for the Gd2O3/AlN/GaN junction.


AlN Passivation Effect on Au/GaN Schottky Contacts
Reference: Thin Solid Films 670 (2019) 41–45 (https://doi.org/10.1016/j.tsf.2018.12.008)
Authors: Hogyoung Kim, Yurim Kwon, Byung Joon Choi
Institution: Seoul National University of Science and Technology

<Abstract>
Surface passivation effect with an aluminum nitride (AlN) thin film deposited by atomic layer deposition (ALD) on metal/gallium nitride (GaN) junctions were investigated using current–voltage and capacitance–voltage (Csingle bondV) measurements. The sample with an AlN layer revealed higher barrier height and lower ideality factor compared to the sample without AlN layer. X-ray photoelectron spectroscopy measurement on bare GaN surface showed the presence of native oxide on the GaN surface. From Csingle bondV measurements, it was found that the interface state density was reduced with an AlN layer. Hence, deposition of AlN layer by ALD can be used to improve the interface quality of metal/GaN junction.


Thickness Dependence on Interfacial and Electrical Properties in Atomic Layer Deposited AIN on c-plane GaN
Reference: Nanoscale Research Letters (2018) 13:232 (https://doi.org/10.1186/s11671-018-2645-8)
Authors: Hogyoung Kim, Hee Ju Yoon, Byung Joon Choi
Institution: Seoul National University of Science and Technology

<Abstract>
The interfacial and electrical properties of atomic layer deposited AlN on n-GaN with different AlN thicknesses were investigated. According to capacitance–voltage (C–V) characteristics, the sample with a 7.4-nm-thick AlN showed the highest interface and oxide trap densities. When the AlN thickness was 0.7 nm, X-ray photoelectron spectroscopy (XPS) spectra showed the dominant peak associated with Al–O bonds, along with no clear AlN peak. The amount of remained oxygen atoms near the GaN surface was found to decrease for the thicker AlN. However, many oxygen atoms were present across the AlN layer, provided the oxygen-related defects, which eventually increased the interface state density. The barrier inhomogeneity with thermionic emission (TE) model was appropriate to explain the forward bias current for the sample with a 7.4-nm-thick AlN, which was not proper for the sample with a 0.7-nm-thick AlN. The reverse leakage currents for both the samples with 0.7- and 7.4-nm-thick AlN were explained better using Fowler–Nordheim (FN) rather than Poole–Frenkel emissions.


Metallic Indium Segregation Control of InN Thin Films Grown On Si (100) by Plasma-Enhanced Atomic Layer Deposition
Reference: Results in Physics 12 (2019) 804–809 (https://doi.org/10.1016/j.rinp.2018.12.023)
Authors: Yunlai An, Yingfeng He, Huiyun Wei, Sanjie Liu, Meiling Li, Yimeng Song, Peng Qiu, Abdul Rehman, Xinhe Zheng, Mingzeng Peng
Institution: University of Science and Technology Beijing

<Abstract>
InN thin films were grown on Si(1 0 0) substrates by plasma-enhanced atomic layer deposition (PEALD). In this work, It is found that the island growth of InN on Si(1 0 0) easily happens at the initial PEALD period. The PEALD parameters have been systematically investigated to optimize the size, density, coalescence and distribution uniformity of InN grains with good crystallinity and no metallic indium clustering. Especially, indium segregation of PEALD-grown InN has a direct dependence on the deposition temperature (T), the supply of trimethylindium (TMIn) precursor and nitrogen plasma (NP) source. Based on our proposed PEALD mechanism of InN, a polycrystalline hexagonal InN thin film in the thickness of 24.2 nm has been well deposited at the growth per cycle (GPC) of 0.8 Å/cycle. And it shows a (0 0 2) preferential orientation and no any structural phase of metallic indium segregation. As a result, it may provide a useful guide for deeply understanding the PEALD growth mechanism of InN and In-rich nitrides, which further extends the promising applications in high-efficiency photovoltaics and high speed electronic devices.


Atomic Layer Deposition of Cobalt(II) Oxide Thin Films From Co(BTSA)2(THF) and H2O
Reference: J. Vac. Sci. Technol. A 37(1), Jan/Feb 2019 (https://doi.org/10.1116/1.5066638)
Authors: Tomi Iivonen, Mikko Kaipio, Timo Hatanpää, Kenichiro Mizohata, Kristoffer Meinander, Jyrki Räisänen, Jiyeon Kim, Mikko Ritala, Markku Leskelä
Institutions: University of Helsinki, Ruhr-University Bochum

<Abstract>
In this work, we have studied the applicability of Co(BTSA)2(THF) [BTSA = bis(trimethylsilyl)amido] (THF = tetrahydrofuran) in atomic layer deposition (ALD) of cobalt oxide thin films. When adducted with THF, the resulting Co(BTSA)2(THF) showed good volatility and could be evaporated at 55 °C, which enabled film deposition in the temperature range of 75–250 °C. Water was used as the coreactant, which led to the formation of Co(II) oxide films. The saturative growth mode characteristic to ALD was confirmed with respect to both precursors at deposition temperatures of 100 and 200 °C. According to grazing incidence x-ray diffraction measurements, the films contain both cubic rock salt and hexagonal wurtzite phases of CoO. X-ray photoelectron spectroscopy measurements confirmed that the primary oxidation state of cobalt in the films is +2. The film composition was analyzed using time-of-flight elastic recoil detection analysis, which revealed the main impurities in the films to be H and Si. The Si impurities originate from the BTSA ligand and increased with increasing deposition temperature, which indicates that Co(BTSA)2(THF) is best suited for low-temperature deposition. To gain insight into the surface chemistry of the deposition process, an in situ reaction mechanism study was conducted using quadrupole mass spectroscopy and quartz crystal microbalance techniques. Based on the in situ experiments, it can be concluded that film growth occurs via a ligand exchange mechanism.


Atomic Layer Deposition of Molybdenum and Tungsten Oxide Thin Films Using Heteroleptic Imido-Amidinato Precursors: Process Development, Film Characterization, and Gas Sensing Properties
Reference: Chem. Mater. 2018, 30, 8690−8701 (https://doi.org/10.1021/acs.chemmater.8b04129)
Authors: Miika Mattinen, Jan-Lucas Wree, Niklas Stegmann, Engin Ciftyurek, Mhamed El Achhab, Peter King, Kenichiro Mizohata, Jyrki Raïsan̈en, Klaus D.Schierbaum, AnjanaDevi, Mikko Ritala, Markku Leskela
Institutions: University of Helsinki, Ruhr-University Bochum, University Düsseldorf

<Abstract>
Heteroleptic bis(tert-butylimido)bis(N,N′-diisopropylacetamidinato) compounds of molybdenum and tungsten are introduced as precursors for atomic layer deposition of tungsten and molybdenum oxide thin films using ozone as the oxygen source. Both precursors have similar thermal properties but exhibit different growth behaviors. With the molybdenum precursor, high growth rates up to 2 Å/cycle at 300 °C and extremely uniform films are obtained, although the surface reactions are not completely saturative. The corresponding tungsten precursor enables saturative film growth with a lower growth rate of 0.45 Å/cycle at 300 °C. Highly pure films of both metal oxides are deposited, and their phase as well as stoichiometry can be tuned by changing the deposition conditions. The WOx films crystallize as γ-WO3 at 300 °C and above, whereas the films deposited at lower temperatures are amorphous. Molybdenum oxide can be deposited as either amorphous (≤250 °C), crystalline suboxide (275 °C), a mixture of suboxide and α-MoO3 (300 °C), or pure α-MoO3 (≥325 °C) films. MoOx films are further characterized by synchrotron photoemission spectroscopy and temperature-dependent resistivity measurements. A suboxide MoOx film deposited at 275 °C is demonstrated to serve as an efficient hydrogen gas sensor at a low operating temperature of 120 °C.


Diamine Adduct of Cobalt(II) Chloride as aPrecursor for Atomic Layer Deposition of Stoichiometric Cobalt(II) Oxide and Reduction Thereof to Cobalt Metal Thin Films
Reference: Chem. Mater. 2018, 30, 3499−3507 (https://doi.org/10.1021/acs.chemmater.8b01271)
Authors: Katja Vaÿrynen, Timo Hatanpaä, Miika Mattinen, Mikko Heikkila, Kenichiro Mizohata, Kristoffer Meinander, Jyrki Raïsan̈en, Mikko Ritala, Markku Leskela
Institution: University of Helsinki

<Abstract>
In this paper, we introduce a new Co precursor for the atomic layer deposition (ALD) of Co metal and other Co containing materials. CoCl2(TMEDA) (TMEDA = N,N,N′,N′-tetramethylethylenediamine) is a diamine adduct of cobalt(II) chloride that is inexpensive and easy to synthesize, making it an industrially viable precursor. Furthermore, CoCl2(TMEDA) shows good volatility at reasonably low temperatures and is thermally stable up to a temperature of ∼300 °C. We also present a full ALD study for the deposition of CoO thin films using CoCl2(TMEDA) and water as precursors. The process was investigated within a temperature range of 225–300 °C. Saturation of the film growth with respect to both precursor pulse lengths was verified. According to X-ray diffraction, the films were a mixture of hexagonal and cubic CoO. No reflections corresponding to CO3O4 were detected. The hexagonal phase is characteristic to nanomaterials only and is not seen in bulk CoO. The crystal structure of the films could be tuned by temperature, water pulse lengths, and type of substrate material. The films deposited at 275 °C exhibited 1:1 Co:O stoichiometry and very high purity. The CoO films could be reduced to Co metal at an exceptionally low temperature of 250 °C in 10% forming gas. Continuity of the reduced Co films was improved when the CoO film was deposited on TiN instead of native oxide terminated Si. The Co content of a 50 nm reduced metal film was as high as 95 at. %, with negligible amounts of oxygen and hydrogen.


Atomic Layer Deposition of Crystalline Molybdenum Oxide Thin Films and Phase Control by Post-Deposition Annealing
Reference: Materials Today Chemistry 9 (2018) 17-27 (https://doi.org/10.1016/j.mtchem.2018.04.005)
Authors: Miika Mattinen, Peter J. King, Leonid Khriachtchev, Mikko J. Heikkila, Ben Fleming, Simon Rushworth, Kenichiro Mizohata, Kristoffer Meinander, Jyrki Raisanen, Mikko Ritala, Markku Leskela
Institutions: University of Helsinki, EpiValence Ltd

<Abstract>
Molybdenum forms a range of oxides with different stoichiometries and crystal structures, which lead to different properties and performance in diverse applications. Herein, crystalline molybdenum oxide thin films with controlled phase composition are deposited by atomic layer deposition. The MoO2(thd)2 and O3 as precursors enable well-controlled growth of uniform and conformal films at 200–275 °C. The as-deposited films are rough and, in most cases, consist of a mixture of α- and β-MoO3 as well as an unidentified suboxide MoOx (2.75 ≤ x ≤ 2.89) phase. The phase composition can be tuned by changing deposition conditions. The film stoichiometry is close to MoO3 and the films are relatively pure, the main impurity being hydrogen (2–7 at-%), with ≤1 at-% of carbon and nitrogen. Post-deposition annealing is studied in situ by high-temperature X-ray diffraction in air, O2, N2, and forming gas (10% H2/90% N2) atmospheres. Phase-pure films of MoO2 and α-MoO3 are obtained by annealing at 450 °C in forming gas and O2, respectively. The ability to tailor the phase composition of MoOx films deposited by scalable atomic layer deposition method represents an important step towards various applications of molybdenum oxides.


Low-Temperature Wafer-Scale Deposition of Continuous 2D SnS2 Films
Reference: Small 2018, 14, 1800547 (https://doi.org/10.1002/smll.201800547)
Authors: Miika Mattinen, Peter J. King, Leonid Khriachtchev, Kristoffer Meinander, James T. Gibbon, Vin R. Dhanak, Jyrki Räisänen, Mikko Ritala, Markku Leskelä
Institutions: University of Helsinki, University of Liverpool

<Abstract>
Semiconducting 2D materials, such as SnS2, hold immense potential for many applications ranging from electronics to catalysis. However, deposition of few‐layer SnS2 films has remained a great challenge. Herein, continuous wafer‐scale 2D SnS2 films with accurately controlled thickness (2 to 10 monolayers) are realized by combining a new atomic layer deposition process with low‐temperature (250 °C) postdeposition annealing. Uniform coating of large‐area and 3D substrates is demonstrated owing to the unique self‐limiting growth mechanism of atomic layer deposition. Detailed characterization confirms the 1T‐type crystal structure and composition, smoothness, and continuity of the SnS2 films. A two‐stage deposition process is also introduced to improve the texture of the films. Successful deposition of continuous, high‐quality SnS2 films at low temperatures constitutes a crucial step toward various applications of 2D semiconductors.


ex situ, Other Films

Measuring Thickness in Thin NbN Films for Superconducting Devices
Reference: submitted (https://doi.org/10.1116/1.5088061)
Authors: O Medeiros, M Colangelo, I Charaev, K K Berggren
Institutions: Massachusetts Institute of Technology

<Abstract>
The authors present the use of a commercially available fixed-angle multiwavelength ellipsometer for quickly measuring the thickness of NbN thin films for the fabrication and performance improvement of superconducting nanowire single photon detectors. The process can determine the optical constants of absorbing thin films, removing the need for inaccurate approximations. The tool can be used to observe oxidation growth and allows thickness measurements to be integrated into the characterization of various fabrication processes.


Optically-Monitored Nanopore Fabrication Using a Focused Laser Beam
Reference: Scientific REPORTS | (2018) 8:9765 (https://doi.org/DOI:10.1038/s41598-018-28136-z)
Authors: Tal Gilboa, Adam Zrehen, Arik Girsault, Amit Meller
Institution: The Technion – Israel Institute of Technology

<Abstract>
Solid-state nanopores (ssNPs) are extremely versatile single-molecule sensors and their potential have been established in numerous biomedical applications. However, the fabrication of ssNPs remains the main bottleneck to their widespread use. Herein, we introduce a rapid and localizable ssNPs fabrication method based on feedback-controlled optical etching. We show that a focused blue laser beam irreversibly etches silicon nitride (SiNx) membranes in solution. Furthermore, photoluminescence (PL) emitted from the SiNx is used to monitor the etching process in real-time, hence permitting rate adjustment. Transmission electron microscopy (TEM) images of the etched area reveal an inverted Gaussian thickness profile, corresponding to the intensity point spread function of the laser beam. Continued laser exposure leads to the opening of a nanopore, which can be controlled to reproducibly fabricate nanopores of different sizes. The optically-formed ssNPs exhibit electrical noise on par with TEM-drilled pores, and translocate DNA and proteins readily. Notably, due to the localized thinning, the laser-drilled ssNPs exhibit highly suppressed background PL and improved spatial resolution. Given the total control over the nanopore position, this easily implemented method is ideally suited for electro-optical sensing and opens up the possibility of fabricating large nanopore arrays in situ.


Layer-By-Layer Assemblies of Coordinative Surface-Confined Electroactive Multilayers: Zigzag vs Orthogonal Molecular Wires with Linear vs Molecular Sponge Type of Growth
Reference: J. Phys. Chem. C 2018, 122, 3419−3427 (https://doi.org/10.1021/acs.jpcc.7b10900)
Authors: Jade Poisson, Heather L. Geoffrey, Iraklii I. Ebralidze, Nadia O. Laschuk, Jesse T. S. Allan, Alexandra Deckert, E. Bradley Easton, Olena V. Zenkina
Institution: University of Ontario Institute of Technology

<Abstract>
Surface-anchored coordination-based molecular assemblies (CBMA) are very powerful tools for the design of modern materials. The CBMAs were created using bis-terpyridine–iron coordination chemistry by the alternation of linear or bent bis-terpyridine ligands and Fe(II) metal centers. The coordination of the bent ligand results in the formation of zigzag structures that experience linear growth with each successive deposition step. Interestingly, the deposition of the linear ligand has two distinct steps. During the first four deposition cycles, the thickness and iron uptake (surface coverage) of the multilayer change similarly to ones of the bent ligand based multilayer. However, during the following deposition cycles, the linear ligand based multilayer demonstrates significantly higher growth rate. This unusual behavior might be attributed with the reorganizational transformations leading to a higher organizational level of the assembly. As a result, a part of templating layer molecules, which due to sterical reasons cannot seed a molecular wire growth, and successfully formed molecular wires begin acting as by-holders or molecular sponges able to trap extra metal ions and provide these ions to the system during the next deposition step, thus speeding up the growth of the molecular wires. Indeed, incubation of linear assemblies in solutions of Zn(II) or Cd(II) demonstrates significant trapping abilities for the ions. During electrochemical cycling, both assemblies show high stability, fast response times, and sufficient coloration efficiencies that make them valuable building blocks for the design of novel electrochromic materials.


Measurement of Ferroelectric Films in MFM and MFIS Structures
Reference: Thesis, ProQuest Number: 10619120
(https://scholarworks.rit.edu/cgi/viewcontent.cgireferer=https://scholar.google.co.jp/&httpsredir=1&article=10702&context=theses)
Author: Jackson D. Anderson
Institution: Rochester Institute of Technology

<Abstract>
For many years ferroelectric memory has been used in applications requiring low power, yet mainstream adoption has been stifled due to integration and scaling issues. With the renewed interest in these devices due to the recent discovery of ferroelectricity in HfO2, it is imperative that the properties of these films are well understood. To aid that end, a ferroelectric analysis package has been developed and released on GitHub and PyPI under a creative commons non-commercial share-alike license. This package contains functions for visualization and analysis of data from polarization, leakage current, and FORC measurements as well as basic modeling capability. Functionality is verified via the analysis of lead zirconate titanate (PZT) capacitors, where a multi-domain simulation based on an experimental Preisach density shows decent agreement despite measurement noise. The package is then used in the analysis of ferroelectric HfO2 films deposited in metal-ferroelectric-metal (MFM) and metalferroelectric-insulator-semiconductor (MFIS) stacks. 13.5 nm HfO2 films deposited on a semiconductor surface are shown to have a coercive voltage of 2.5 V, rather than the 1.9 V of the film in an MFM stack. This value further increases to 3-5 V when a lightly doped semiconductor depletion and inversion capacitance is added to the stack. The magnitude of this change is more than can be accounted for from the 10% voltage drop across the interfacial oxide layer, indicating that the modified surface properties are impacting the formation of the ferroelectric phase during anneal. In light of this, care should be taken to map out ferroelectric HfO2 properties using the particular physical stack that will be used, rather than using an MFM stack as a proxy.


Work Function Investigations of Al-doped ZnO for Band-Alignment in Electronic and Optoelectronic Applications
Reference: Applied Surface Science 484 (2019) 990–998 (https://doi.org/10.1016/j.apsusc.2019.04.079)
Authors: Grant Drewelowb, Austin Reedb, Chandon Stoneb, Kwangdong Rohc, Zhong-Tao Jiangd, Linh Nguyen Thi Truce, Kwangsoo Nof, Hongsik Parkg, Sunghwan Lee
Institutions: Purdue University, Baylor University, Princeton University, Murdoch University, Ho Chi Minh City University of Education, Korea Advanced Institute of Science and Technology, Kyungpook National University

<Abstract>
Possessing the ability to tune the work function and band gap of transparent conducting oxides (TCOs) is widely sought after, as it allows for improved band alignment in electronic and optoelectronic applications, enhancing overall device performance. Out of the many TCOs, Al-doped zinc oxide (AZO) has received considerable interest for electrode application due to its low electrical resistivity, high optical transparency in the visible regime, and room-temperature fabrication capability. In this study, we report on the effects of post fabrication air-annealing on the work function and band alignment of AZO deposited at room temperature by DC-magnetron sputtering. AZO films were air-annealed at temperatures varying from 25 °C (as-deposited) to 600 °C. The Fermi energy levels, work function values, surface chemistry, and optical band gaps of the AZO films were investigated via X-ray photoelectron spectroscopy (XPS) and UV–Vis spectroscopy. An increase of the work function from ~5.53 eV to ~6.05 eV is observed to take place over an increase of annealing temperatures from 25 °C (as-deposited) to 600 °C, determined to be the result of a decrease in carrier concentration through the promoted extinction of oxygen vacancies. Via XPS analysis, the increased extinction of oxygen vacancies was confirmed due to a notable shift from an oxygen-deficient state to an oxygen-sufficient state in the high resolution O 1s peaks, for which the activation energy was found to be 33.3 meV. Over the course of increasing annealing temperatures, the optical band gap saw a shift from ~3.55 eV to ~3.37 eV, following the Burstein-Moss phenomenon due to a decrease in carrier concentration, further verifying an increase in oxygen vacancy extinction. The reported results confirm that work function tuning of AZO films can be achieved through simple post-fabrication air-annealing.

こちらからGoogle Scholar内の論文を検索できます。

各種お問い合わせ
お電話でのお問い合わせ
  • 東京03-5379-0051
  • 大阪06-6212-2500
  • 名古屋052-686-4794
  • 仙台022-218-0560
お問い合わせフォーム

資料請求などもこちらからどうぞ。お気軽にお問い合わせください。

各種お問い合わせ
お電話でのお問い合わせ
受付時間 8:00 ~ 17:00
お問い合わせフォーム

資料請求などもこちらからどうぞ。
お気軽にお問い合わせください。

©MEIWAFOSIS CO., LTD. ALL RIGHT RESERVED.